opnsense-ports/cad
Franco Fichtner fe58a8c23c */*: sync with upstream
Taken from: FreeBSD
2024-04-22 12:56:56 +02:00
..
PrusaSlicer */*: sync with upstream 2024-04-18 13:33:07 +02:00
abc */*: sync with upstream 2022-10-11 08:57:11 +02:00
admesh */*: sync with upstream 2024-02-23 09:55:30 +01:00
adms ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
alliance */*: sync with upstream 2024-01-11 08:55:29 +01:00
antimony */*: sync with upstream 2023-01-17 08:24:29 +01:00
apio */*: sync with upstream 2024-01-11 08:55:29 +01:00
appcsxcad */*: sync with upstream 2023-10-27 09:26:19 +02:00
archimedes ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
astk-client */*: sync with upstream 2022-07-21 08:10:06 +02:00
astk-serveur */*: sync with upstream 2024-01-02 12:53:53 +01:00
atlc */*: sync with upstream 2024-01-22 09:39:49 +01:00
brlcad */*: sync with upstream 2024-01-22 09:39:49 +01:00
calculix */*: sync with upstream 2024-01-02 12:53:53 +01:00
calculix-ccx */*: sync with upstream 2024-01-02 12:53:53 +01:00
camotics */*: sync with upstream 2023-09-11 14:35:19 +02:00
caneda */*: sync with upstream 2024-02-23 09:55:30 +01:00
cascade */*: sync with upstream 2024-01-16 11:33:30 +01:00
cascade-compiler ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
chipvault */*: sync with upstream 2023-11-02 12:44:20 +01:00
csxcad */*: sync with upstream 2024-02-14 10:54:02 +01:00
cura */*: sync with upstream 2023-06-28 09:14:20 +02:00
cura-engine */*: sync with upstream 2023-12-19 09:56:16 +01:00
cvc */*: sync with upstream 2023-05-11 14:27:30 +02:00
digital */*: sync with upstream 2024-03-11 10:55:04 +01:00
dinotrace */*: sync with upstream 2024-03-28 08:40:20 +01:00
ecpprog ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
electric */*: sync with upstream 2023-07-27 07:48:56 +02:00
electric-ng ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
fasm ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
fdm_materials */*: sync with upstream 2022-09-07 22:51:57 +02:00
feappv */*: sync with upstream 2023-04-24 07:58:56 +02:00
fidocadj ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
freecad */*: sync with upstream 2024-04-18 13:33:07 +02:00
freehdl */*: sync with upstream 2024-02-23 09:55:30 +01:00
fritzing */*: sync with upstream 2024-02-23 09:55:30 +01:00
gds3d */*: sync with upstream 2023-07-21 09:38:38 +02:00
gdscpp */*: sync with upstream 2023-03-03 12:35:16 +01:00
gdsreader */*: sync with upstream 2024-01-22 09:39:49 +01:00
gdstk */*: sync with upstream 2024-03-11 10:55:04 +01:00
gdt ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
geda */*: sync with upstream 2024-03-04 13:24:38 +01:00
gerbv */*: sync with upstream 2024-02-27 10:03:11 +01:00
ghdl */*: sync with upstream 2024-04-15 19:12:18 +02:00
gmsh */*: sync with upstream 2024-03-22 08:28:46 +01:00
gnucap ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
gplcver ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
graywolf */*: sync with upstream 2023-04-24 07:58:56 +02:00
gspiceui */*: sync with upstream 2024-01-16 11:33:30 +01:00
gtkwave */*: sync with upstream 2024-04-15 19:12:18 +02:00
horizon-eda */*: sync with upstream 2024-03-22 08:28:46 +01:00
hs-verismith */*: sync with upstream 2023-06-07 03:55:39 +02:00
ifcopenshell */*: sync with upstream 2023-06-28 09:14:20 +02:00
impact ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
irsim */*: sync with upstream 2024-02-23 09:55:30 +01:00
iverilog */*: sync with upstream 2024-03-04 13:24:38 +01:00
k40-whisperer */*: sync with upstream 2023-06-28 09:14:20 +02:00
kicad */*: sync with upstream 2024-03-22 08:28:46 +01:00
kicad-devel */*: sync with upstream 2024-04-15 19:12:18 +02:00
kicad-doc */*: sync with upstream 2023-04-27 09:41:23 +02:00
kicad-library-footprints */*: sync with upstream 2023-04-27 09:41:23 +02:00
kicad-library-footprints-devel */*: sync with upstream 2024-04-15 19:12:18 +02:00
kicad-library-packages3d */*: sync with upstream 2023-04-27 09:41:23 +02:00
kicad-library-packages3d-devel */*: sync with upstream 2024-04-15 19:12:18 +02:00
kicad-library-symbols */*: sync with upstream 2023-04-27 09:41:23 +02:00
kicad-library-symbols-devel */*: sync with upstream 2024-04-15 19:12:18 +02:00
kicad-library-templates */*: sync with upstream 2023-04-27 09:41:23 +02:00
kicad-library-templates-devel */*: sync with upstream 2024-04-15 19:12:18 +02:00
klayout */*: sync with upstream 2024-01-02 12:53:53 +01:00
ktechlab */*: sync with upstream 2023-08-16 08:11:02 +02:00
ldraw ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
ldview */*: sync with upstream 2024-03-26 10:55:10 +01:00
leocad */*: sync with upstream 2024-03-04 13:24:38 +01:00
lepton-eda */*: sync with upstream 2024-02-23 09:55:30 +01:00
libbgcode */*: sync with upstream 2024-04-02 14:34:30 +02:00
libgdsii */*: sync with upstream 2022-11-21 10:39:45 +01:00
libopencad */*: sync with upstream 2023-03-14 08:04:35 +01:00
librecad */*: sync with upstream 2023-08-01 10:51:20 +02:00
libredwg */*: sync with upstream 2024-01-25 15:00:41 +01:00
librepcb */*: sync with upstream 2024-03-22 08:28:46 +01:00
librnd */*: sync with upstream 2023-09-11 14:35:19 +02:00
logisim ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
magic */*: sync with upstream 2024-01-25 15:00:41 +01:00
meshdev */*: sync with upstream 2023-11-02 12:44:20 +01:00
meshlab */*: sync with upstream 2023-07-24 08:36:38 +02:00
netgen */*: sync with upstream 2024-03-07 23:16:02 +01:00
netgen-lvs */*: sync with upstream 2024-04-15 19:12:18 +02:00
ngspice_rework */*: sync with upstream 2024-03-04 13:24:38 +01:00
nvc */*: sync with upstream 2024-04-15 19:12:18 +02:00
opencascade */*: sync with upstream 2024-04-18 13:33:07 +02:00
opencascade740 */*: sync with upstream 2023-04-28 10:12:04 +02:00
openctm */*: sync with upstream 2024-03-04 13:24:38 +01:00
openfpgaloader */*: sync with upstream 2024-03-26 10:55:10 +01:00
openroad */*: sync with upstream 2024-01-02 12:53:53 +01:00
openscad */*: sync with upstream 2024-02-14 10:54:02 +01:00
openscad-devel */*: sync with upstream 2023-04-24 07:58:56 +02:00
opentimer */*: sync with upstream 2023-09-19 08:54:38 +02:00
openvsp */*: sync with upstream 2024-04-22 12:56:56 +02:00
oregano */*: sync with upstream 2024-01-16 11:33:30 +01:00
p5-GDS2 */*: sync with upstream 2024-01-16 11:33:30 +01:00
p5-Verilog-Perl ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
padring ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
pcb */*: sync with upstream 2024-03-04 13:24:38 +01:00
pcb-rnd */*: sync with upstream 2023-09-11 14:35:19 +02:00
pdnmesh */*: sync with upstream 2024-02-23 09:55:30 +01:00
py-amaranth */*: sync with upstream 2024-04-15 19:12:18 +02:00
py-cocotb */*: sync with upstream 2023-10-10 13:29:24 +02:00
py-edalize */*: sync with upstream 2024-04-15 19:12:18 +02:00
py-ezdxf */*: sync with upstream 2024-03-11 10:55:04 +01:00
py-gdspy */*: sync with upstream 2023-06-28 09:14:20 +02:00
py-gdstk */*: sync with upstream 2024-04-18 13:33:07 +02:00
py-gmsh */*: sync with upstream 2024-03-11 10:55:04 +01:00
py-lcapy */*: sync with upstream 2023-06-28 09:14:20 +02:00
py-phidl */*: sync with upstream 2023-06-28 09:14:20 +02:00
py-pyfda */*: sync with upstream 2023-06-28 09:14:20 +02:00
py-pygmsh */*: sync with upstream 2023-06-28 09:14:20 +02:00
py-pymtl */*: sync with upstream 2023-11-07 14:07:58 +01:00
py-pyvcd */*: sync with upstream 2024-02-29 11:59:25 +01:00
py-vunit-hdl */*: sync with upstream 2024-02-29 11:59:25 +01:00
python-gdsii */*: sync with upstream 2023-06-28 09:14:20 +02:00
qcad */*: sync with upstream 2024-04-15 19:12:18 +02:00
qcsxcad */*: sync with upstream 2023-10-27 09:26:19 +02:00
qelectrotech */*: sync with upstream 2024-03-26 10:55:10 +01:00
qflow */*: sync with upstream 2024-03-11 10:55:04 +01:00
qmls */*: sync with upstream 2022-07-21 08:10:06 +02:00
qrouter */*: sync with upstream 2023-11-07 14:07:58 +01:00
qspeakers */*: sync with upstream 2023-07-17 07:53:42 +02:00
qucs-s */*: sync with upstream 2024-02-20 05:09:22 +01:00
qucsator ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
repsnapper */*: sync with upstream 2023-03-03 12:35:16 +01:00
rubygem-gdsii */*: sync with upstream 2023-01-16 20:20:04 +01:00
scotch */*: sync with upstream 2023-12-11 11:32:56 +01:00
silice */*: sync with upstream 2023-04-24 07:58:56 +02:00
solvespace */*: sync with upstream 2024-01-02 12:53:53 +01:00
sp2sp */*: sync with upstream 2023-11-07 14:07:58 +01:00
spice */*: sync with upstream 2024-03-04 13:24:38 +01:00
stepcode */*: sync with upstream 2024-01-02 12:53:53 +01:00
stm32flash ports: bulk WWW change from FreeBSD 2022-09-07 22:33:21 +02:00
sumo */*: sync with upstream 2024-04-15 19:12:18 +02:00
surelog */*: sync with upstream 2023-12-11 11:32:56 +01:00
svlint */*: sync with upstream 2024-03-26 10:55:10 +01:00
svls */*: sync with upstream 2024-03-26 10:55:10 +01:00
sweethome3d */*: sync with upstream 2024-04-15 19:12:18 +02:00
symbiyosys */*: sync with upstream 2024-04-15 19:12:18 +02:00
tkgate */*: sync with upstream 2024-02-23 09:55:30 +01:00
tochnog */*: sync with upstream 2024-01-02 12:53:53 +01:00
uhdm */*: sync with upstream 2023-12-11 11:32:56 +01:00
uranium */*: sync with upstream 2023-10-09 11:59:08 +02:00
verilator */*: sync with upstream 2024-04-15 19:12:18 +02:00
verilog-mode.el */*: sync with upstream 2024-03-28 08:40:20 +01:00
veroroute */*: sync with upstream 2024-04-18 13:33:07 +02:00
veryl */*: sync with upstream 2024-04-18 13:33:07 +02:00
xcircuit */*: sync with upstream 2024-03-04 13:24:38 +01:00
xyce */*: sync with upstream 2024-03-07 23:16:02 +01:00
yosys */*: sync with upstream 2024-04-15 19:12:18 +02:00
yosys-ghdl-plugin */*: sync with upstream 2024-03-20 08:52:32 +01:00
yosys-systemverilog */*: sync with upstream 2023-08-01 10:51:20 +02:00
z88 */*: sync with upstream 2024-01-02 12:53:53 +01:00
zcad */*: sync with upstream 2024-03-26 10:55:10 +01:00
Makefile */*: sync with upstream 2024-04-02 14:34:30 +02:00