pinctrl: sam-r34-r35: Add pinconfigs and include files

Add Atmel SAM0 R34/R35 family of pinconfigs definitions and auto
generated files to be used in pinctrl devicetree files.

Signed-off-by: Attie Grande <attie.grande@argentum-systems.co.uk>
This commit is contained in:
Attie Grande 2022-04-09 03:07:41 +01:00 committed by Gerson Fernando Budke
parent 8989ec49b3
commit 7518d0b79b
3 changed files with 2178 additions and 0 deletions

View File

@ -0,0 +1,927 @@
/*
* Autogenerated file
*
* SPDX-License-Identifier: Apache-2.0
*/
#include <dt-bindings/pinctrl/atmel_sam_pinctrl.h>
/* pa0_gpio */
#define PA0_GPIO \
SAM_PINMUX(a, 0, gpio, gpio)
/* pa0a_eic_extint0 */
#define PA0A_EIC_EXTINT0 \
SAM_PINMUX(a, 0, a, periph)
/* pa0d_sercom1_pad0 */
#define PA0D_SERCOM1_PAD0 \
SAM_PINMUX(a, 0, d, periph)
/* pa0e_tcc2_wo0 */
#define PA0E_TCC2_WO0 \
SAM_PINMUX(a, 0, e, periph)
/* pa1_gpio */
#define PA1_GPIO \
SAM_PINMUX(a, 1, gpio, gpio)
/* pa1a_eic_extint1 */
#define PA1A_EIC_EXTINT1 \
SAM_PINMUX(a, 1, a, periph)
/* pa1d_sercom1_pad1 */
#define PA1D_SERCOM1_PAD1 \
SAM_PINMUX(a, 1, d, periph)
/* pa1e_tcc2_wo1 */
#define PA1E_TCC2_WO1 \
SAM_PINMUX(a, 1, e, periph)
/* pa4_gpio */
#define PA4_GPIO \
SAM_PINMUX(a, 4, gpio, gpio)
/* pa4a_eic_extint4 */
#define PA4A_EIC_EXTINT4 \
SAM_PINMUX(a, 4, a, periph)
/* pa4b_adc_ain4 */
#define PA4B_ADC_AIN4 \
SAM_PINMUX(a, 4, b, periph)
/* pa4d_sercom0_pad0 */
#define PA4D_SERCOM0_PAD0 \
SAM_PINMUX(a, 4, d, periph)
/* pa4e_tcc0_wo0 */
#define PA4E_TCC0_WO0 \
SAM_PINMUX(a, 4, e, periph)
/* pa5_gpio */
#define PA5_GPIO \
SAM_PINMUX(a, 5, gpio, gpio)
/* pa5a_eic_extint5 */
#define PA5A_EIC_EXTINT5 \
SAM_PINMUX(a, 5, a, periph)
/* pa5b_adc_ain5 */
#define PA5B_ADC_AIN5 \
SAM_PINMUX(a, 5, b, periph)
/* pa5d_sercom0_pad1 */
#define PA5D_SERCOM0_PAD1 \
SAM_PINMUX(a, 5, d, periph)
/* pa5e_tcc0_wo1 */
#define PA5E_TCC0_WO1 \
SAM_PINMUX(a, 5, e, periph)
/* pa6_gpio */
#define PA6_GPIO \
SAM_PINMUX(a, 6, gpio, gpio)
/* pa6a_eic_extint6 */
#define PA6A_EIC_EXTINT6 \
SAM_PINMUX(a, 6, a, periph)
/* pa6b_adc_ain6 */
#define PA6B_ADC_AIN6 \
SAM_PINMUX(a, 6, b, periph)
/* pa6b_ptc_y4 */
#define PA6B_PTC_Y4 \
SAM_PINMUX(a, 6, b, periph)
/* pa6d_sercom0_pad2 */
#define PA6D_SERCOM0_PAD2 \
SAM_PINMUX(a, 6, d, periph)
/* pa6e_tcc1_wo0 */
#define PA6E_TCC1_WO0 \
SAM_PINMUX(a, 6, e, periph)
/* pa7_gpio */
#define PA7_GPIO \
SAM_PINMUX(a, 7, gpio, gpio)
/* pa7a_eic_extint7 */
#define PA7A_EIC_EXTINT7 \
SAM_PINMUX(a, 7, a, periph)
/* pa7b_adc_ain7 */
#define PA7B_ADC_AIN7 \
SAM_PINMUX(a, 7, b, periph)
/* pa7d_sercom0_pad3 */
#define PA7D_SERCOM0_PAD3 \
SAM_PINMUX(a, 7, d, periph)
/* pa7e_tcc1_wo1 */
#define PA7E_TCC1_WO1 \
SAM_PINMUX(a, 7, e, periph)
/* pa8_gpio */
#define PA8_GPIO \
SAM_PINMUX(a, 8, gpio, gpio)
/* pa8a_eic_nmi */
#define PA8A_EIC_NMI \
SAM_PINMUX(a, 8, a, periph)
/* pa8b_adc_ain16 */
#define PA8B_ADC_AIN16 \
SAM_PINMUX(a, 8, b, periph)
/* pa8b_ptc_x0 */
#define PA8B_PTC_X0 \
SAM_PINMUX(a, 8, b, periph)
/* pa8b_ptc_y6 */
#define PA8B_PTC_Y6 \
SAM_PINMUX(a, 8, b, periph)
/* pa8c_sercom0_pad0 */
#define PA8C_SERCOM0_PAD0 \
SAM_PINMUX(a, 8, c, periph)
/* pa8d_sercom2_pad0 */
#define PA8D_SERCOM2_PAD0 \
SAM_PINMUX(a, 8, d, periph)
/* pa8e_tcc0_wo0 */
#define PA8E_TCC0_WO0 \
SAM_PINMUX(a, 8, e, periph)
/* pa8f_tcc1_wo2 */
#define PA8F_TCC1_WO2 \
SAM_PINMUX(a, 8, f, periph)
/* pa9_gpio */
#define PA9_GPIO \
SAM_PINMUX(a, 9, gpio, gpio)
/* pa9a_eic_extint9 */
#define PA9A_EIC_EXTINT9 \
SAM_PINMUX(a, 9, a, periph)
/* pa9b_adc_ain17 */
#define PA9B_ADC_AIN17 \
SAM_PINMUX(a, 9, b, periph)
/* pa9b_ptc_x1 */
#define PA9B_PTC_X1 \
SAM_PINMUX(a, 9, b, periph)
/* pa9b_ptc_y7 */
#define PA9B_PTC_Y7 \
SAM_PINMUX(a, 9, b, periph)
/* pa9c_sercom0_pad1 */
#define PA9C_SERCOM0_PAD1 \
SAM_PINMUX(a, 9, c, periph)
/* pa9d_sercom2_pad1 */
#define PA9D_SERCOM2_PAD1 \
SAM_PINMUX(a, 9, d, periph)
/* pa9e_tcc0_wo1 */
#define PA9E_TCC0_WO1 \
SAM_PINMUX(a, 9, e, periph)
/* pa9f_tcc1_wo3 */
#define PA9F_TCC1_WO3 \
SAM_PINMUX(a, 9, f, periph)
/* pa10_gpio */
#define PA10_GPIO \
SAM_PINMUX(a, 10, gpio, gpio)
/* pa10a_eic_extint10 */
#define PA10A_EIC_EXTINT10 \
SAM_PINMUX(a, 10, a, periph)
/* pa10b_adc_ain18 */
#define PA10B_ADC_AIN18 \
SAM_PINMUX(a, 10, b, periph)
/* pa10b_ptc_x2 */
#define PA10B_PTC_X2 \
SAM_PINMUX(a, 10, b, periph)
/* pa10b_ptc_y8 */
#define PA10B_PTC_Y8 \
SAM_PINMUX(a, 10, b, periph)
/* pa10c_sercom0_pad2 */
#define PA10C_SERCOM0_PAD2 \
SAM_PINMUX(a, 10, c, periph)
/* pa10d_sercom2_pad2 */
#define PA10D_SERCOM2_PAD2 \
SAM_PINMUX(a, 10, d, periph)
/* pa10e_tcc1_wo0 */
#define PA10E_TCC1_WO0 \
SAM_PINMUX(a, 10, e, periph)
/* pa10f_tcc0_wo2 */
#define PA10F_TCC0_WO2 \
SAM_PINMUX(a, 10, f, periph)
/* pa10h_gclk_io4 */
#define PA10H_GCLK_IO4 \
SAM_PINMUX(a, 10, h, periph)
/* pa11_gpio */
#define PA11_GPIO \
SAM_PINMUX(a, 11, gpio, gpio)
/* pa11a_eic_extint11 */
#define PA11A_EIC_EXTINT11 \
SAM_PINMUX(a, 11, a, periph)
/* pa11b_adc_ain19 */
#define PA11B_ADC_AIN19 \
SAM_PINMUX(a, 11, b, periph)
/* pa11b_ptc_x3 */
#define PA11B_PTC_X3 \
SAM_PINMUX(a, 11, b, periph)
/* pa11b_ptc_y9 */
#define PA11B_PTC_Y9 \
SAM_PINMUX(a, 11, b, periph)
/* pa11c_sercom0_pad3 */
#define PA11C_SERCOM0_PAD3 \
SAM_PINMUX(a, 11, c, periph)
/* pa11d_sercom2_pad3 */
#define PA11D_SERCOM2_PAD3 \
SAM_PINMUX(a, 11, d, periph)
/* pa11e_tcc1_wo1 */
#define PA11E_TCC1_WO1 \
SAM_PINMUX(a, 11, e, periph)
/* pa11f_tcc0_wo3 */
#define PA11F_TCC0_WO3 \
SAM_PINMUX(a, 11, f, periph)
/* pa11h_gclk_io5 */
#define PA11H_GCLK_IO5 \
SAM_PINMUX(a, 11, h, periph)
/* pa12_gpio */
#define PA12_GPIO \
SAM_PINMUX(a, 12, gpio, gpio)
/* pa12a_eic_extint12 */
#define PA12A_EIC_EXTINT12 \
SAM_PINMUX(a, 12, a, periph)
/* pa12c_sercom2_pad0 */
#define PA12C_SERCOM2_PAD0 \
SAM_PINMUX(a, 12, c, periph)
/* pa12d_sercom4_pad0 */
#define PA12D_SERCOM4_PAD0 \
SAM_PINMUX(a, 12, d, periph)
/* pa12e_tcc2_wo0 */
#define PA12E_TCC2_WO0 \
SAM_PINMUX(a, 12, e, periph)
/* pa12f_tcc0_wo6 */
#define PA12F_TCC0_WO6 \
SAM_PINMUX(a, 12, f, periph)
/* pa12h_ac_cmp0 */
#define PA12H_AC_CMP0 \
SAM_PINMUX(a, 12, h, periph)
/* pa13_gpio */
#define PA13_GPIO \
SAM_PINMUX(a, 13, gpio, gpio)
/* pa13a_eic_extint13 */
#define PA13A_EIC_EXTINT13 \
SAM_PINMUX(a, 13, a, periph)
/* pa13c_sercom2_pad1 */
#define PA13C_SERCOM2_PAD1 \
SAM_PINMUX(a, 13, c, periph)
/* pa13d_sercom4_pad1 */
#define PA13D_SERCOM4_PAD1 \
SAM_PINMUX(a, 13, d, periph)
/* pa13e_tcc2_wo1 */
#define PA13E_TCC2_WO1 \
SAM_PINMUX(a, 13, e, periph)
/* pa13f_tcc0_wo7 */
#define PA13F_TCC0_WO7 \
SAM_PINMUX(a, 13, f, periph)
/* pa13h_ac_cmp1 */
#define PA13H_AC_CMP1 \
SAM_PINMUX(a, 13, h, periph)
/* pa14_gpio */
#define PA14_GPIO \
SAM_PINMUX(a, 14, gpio, gpio)
/* pa14a_eic_extint14 */
#define PA14A_EIC_EXTINT14 \
SAM_PINMUX(a, 14, a, periph)
/* pa14c_sercom2_pad2 */
#define PA14C_SERCOM2_PAD2 \
SAM_PINMUX(a, 14, c, periph)
/* pa14d_sercom4_pad2 */
#define PA14D_SERCOM4_PAD2 \
SAM_PINMUX(a, 14, d, periph)
/* pa14e_tc4_wo0 */
#define PA14E_TC4_WO0 \
SAM_PINMUX(a, 14, e, periph)
/* pa14f_tcc0_wo4 */
#define PA14F_TCC0_WO4 \
SAM_PINMUX(a, 14, f, periph)
/* pa14h_gclk_io0 */
#define PA14H_GCLK_IO0 \
SAM_PINMUX(a, 14, h, periph)
/* pa15_gpio */
#define PA15_GPIO \
SAM_PINMUX(a, 15, gpio, gpio)
/* pa15a_eic_extint15 */
#define PA15A_EIC_EXTINT15 \
SAM_PINMUX(a, 15, a, periph)
/* pa15c_sercom2_pad3 */
#define PA15C_SERCOM2_PAD3 \
SAM_PINMUX(a, 15, c, periph)
/* pa15d_sercom4_pad3 */
#define PA15D_SERCOM4_PAD3 \
SAM_PINMUX(a, 15, d, periph)
/* pa15e_tc4_wo1 */
#define PA15E_TC4_WO1 \
SAM_PINMUX(a, 15, e, periph)
/* pa15f_tcc0_wo5 */
#define PA15F_TCC0_WO5 \
SAM_PINMUX(a, 15, f, periph)
/* pa15h_gclk_io1 */
#define PA15H_GCLK_IO1 \
SAM_PINMUX(a, 15, h, periph)
/* pa16_gpio */
#define PA16_GPIO \
SAM_PINMUX(a, 16, gpio, gpio)
/* pa16a_eic_extint0 */
#define PA16A_EIC_EXTINT0 \
SAM_PINMUX(a, 16, a, periph)
/* pa16b_ptc_x4 */
#define PA16B_PTC_X4 \
SAM_PINMUX(a, 16, b, periph)
/* pa16c_sercom1_pad0 */
#define PA16C_SERCOM1_PAD0 \
SAM_PINMUX(a, 16, c, periph)
/* pa16d_sercom3_pad0 */
#define PA16D_SERCOM3_PAD0 \
SAM_PINMUX(a, 16, d, periph)
/* pa16e_tcc2_wo0 */
#define PA16E_TCC2_WO0 \
SAM_PINMUX(a, 16, e, periph)
/* pa16f_tcc0_wo6 */
#define PA16F_TCC0_WO6 \
SAM_PINMUX(a, 16, f, periph)
/* pa16h_gclk_io2 */
#define PA16H_GCLK_IO2 \
SAM_PINMUX(a, 16, h, periph)
/* pa17_gpio */
#define PA17_GPIO \
SAM_PINMUX(a, 17, gpio, gpio)
/* pa17a_eic_extint1 */
#define PA17A_EIC_EXTINT1 \
SAM_PINMUX(a, 17, a, periph)
/* pa17b_ptc_x5 */
#define PA17B_PTC_X5 \
SAM_PINMUX(a, 17, b, periph)
/* pa17c_sercom1_pad1 */
#define PA17C_SERCOM1_PAD1 \
SAM_PINMUX(a, 17, c, periph)
/* pa17d_sercom3_pad1 */
#define PA17D_SERCOM3_PAD1 \
SAM_PINMUX(a, 17, d, periph)
/* pa17e_tcc2_wo1 */
#define PA17E_TCC2_WO1 \
SAM_PINMUX(a, 17, e, periph)
/* pa17f_tcc0_wo1 */
#define PA17F_TCC0_WO1 \
SAM_PINMUX(a, 17, f, periph)
/* pa17h_gclk_io3 */
#define PA17H_GCLK_IO3 \
SAM_PINMUX(a, 17, h, periph)
/* pa18_gpio */
#define PA18_GPIO \
SAM_PINMUX(a, 18, gpio, gpio)
/* pa18a_eic_extint2 */
#define PA18A_EIC_EXTINT2 \
SAM_PINMUX(a, 18, a, periph)
/* pa18b_ptc_x6 */
#define PA18B_PTC_X6 \
SAM_PINMUX(a, 18, b, periph)
/* pa18c_sercom1_pad2 */
#define PA18C_SERCOM1_PAD2 \
SAM_PINMUX(a, 18, c, periph)
/* pa18d_sercom3_pad2 */
#define PA18D_SERCOM3_PAD2 \
SAM_PINMUX(a, 18, d, periph)
/* pa18e_tc4_wo0 */
#define PA18E_TC4_WO0 \
SAM_PINMUX(a, 18, e, periph)
/* pa18f_tcc0_wo2 */
#define PA18F_TCC0_WO2 \
SAM_PINMUX(a, 18, f, periph)
/* pa18h_ac_cmp0 */
#define PA18H_AC_CMP0 \
SAM_PINMUX(a, 18, h, periph)
/* pa19_gpio */
#define PA19_GPIO \
SAM_PINMUX(a, 19, gpio, gpio)
/* pa19a_eic_extint3 */
#define PA19A_EIC_EXTINT3 \
SAM_PINMUX(a, 19, a, periph)
/* pa19b_ptc_x7 */
#define PA19B_PTC_X7 \
SAM_PINMUX(a, 19, b, periph)
/* pa19c_sercom1_pad3 */
#define PA19C_SERCOM1_PAD3 \
SAM_PINMUX(a, 19, c, periph)
/* pa19d_sercom3_pad3 */
#define PA19D_SERCOM3_PAD3 \
SAM_PINMUX(a, 19, d, periph)
/* pa19e_tc4_wo1 */
#define PA19E_TC4_WO1 \
SAM_PINMUX(a, 19, e, periph)
/* pa19f_tcc0_wo3 */
#define PA19F_TCC0_WO3 \
SAM_PINMUX(a, 19, f, periph)
/* pa19h_ac_cmp1 */
#define PA19H_AC_CMP1 \
SAM_PINMUX(a, 19, h, periph)
/* pa22_gpio */
#define PA22_GPIO \
SAM_PINMUX(a, 22, gpio, gpio)
/* pa22a_eic_extint6 */
#define PA22A_EIC_EXTINT6 \
SAM_PINMUX(a, 22, a, periph)
/* pa22b_ptc_x10 */
#define PA22B_PTC_X10 \
SAM_PINMUX(a, 22, b, periph)
/* pa22c_sercom3_pad0 */
#define PA22C_SERCOM3_PAD0 \
SAM_PINMUX(a, 22, c, periph)
/* pa22d_sercom5_pad0 */
#define PA22D_SERCOM5_PAD0 \
SAM_PINMUX(a, 22, d, periph)
/* pa22e_tc0_wo0 */
#define PA22E_TC0_WO0 \
SAM_PINMUX(a, 22, e, periph)
/* pa22f_tcc0_wo4 */
#define PA22F_TCC0_WO4 \
SAM_PINMUX(a, 22, f, periph)
/* pa22h_gclk_io6 */
#define PA22H_GCLK_IO6 \
SAM_PINMUX(a, 22, h, periph)
/* pa23_gpio */
#define PA23_GPIO \
SAM_PINMUX(a, 23, gpio, gpio)
/* pa23a_eic_extint7 */
#define PA23A_EIC_EXTINT7 \
SAM_PINMUX(a, 23, a, periph)
/* pa23b_ptc_x11 */
#define PA23B_PTC_X11 \
SAM_PINMUX(a, 23, b, periph)
/* pa23c_sercom3_pad1 */
#define PA23C_SERCOM3_PAD1 \
SAM_PINMUX(a, 23, c, periph)
/* pa23d_sercom5_pad1 */
#define PA23D_SERCOM5_PAD1 \
SAM_PINMUX(a, 23, d, periph)
/* pa23e_tc0_wo1 */
#define PA23E_TC0_WO1 \
SAM_PINMUX(a, 23, e, periph)
/* pa23f_tcc0_wo5 */
#define PA23F_TCC0_WO5 \
SAM_PINMUX(a, 23, f, periph)
/* pa23g_usb_sof */
#define PA23G_USB_SOF \
SAM_PINMUX(a, 23, g, periph)
/* pa23h_gclk_io7 */
#define PA23H_GCLK_IO7 \
SAM_PINMUX(a, 23, h, periph)
/* pa24_gpio */
#define PA24_GPIO \
SAM_PINMUX(a, 24, gpio, gpio)
/* pa24a_eic_extint12 */
#define PA24A_EIC_EXTINT12 \
SAM_PINMUX(a, 24, a, periph)
/* pa24c_sercom3_pad2 */
#define PA24C_SERCOM3_PAD2 \
SAM_PINMUX(a, 24, c, periph)
/* pa24d_sercom5_pad2 */
#define PA24D_SERCOM5_PAD2 \
SAM_PINMUX(a, 24, d, periph)
/* pa24e_tc1_wo0 */
#define PA24E_TC1_WO0 \
SAM_PINMUX(a, 24, e, periph)
/* pa24f_tcc1_wo2 */
#define PA24F_TCC1_WO2 \
SAM_PINMUX(a, 24, f, periph)
/* pa24g_usb_dm */
#define PA24G_USB_DM \
SAM_PINMUX(a, 24, g, periph)
/* pa25_gpio */
#define PA25_GPIO \
SAM_PINMUX(a, 25, gpio, gpio)
/* pa25a_eic_extint13 */
#define PA25A_EIC_EXTINT13 \
SAM_PINMUX(a, 25, a, periph)
/* pa25c_sercom3_pad3 */
#define PA25C_SERCOM3_PAD3 \
SAM_PINMUX(a, 25, c, periph)
/* pa25d_sercom5_pad3 */
#define PA25D_SERCOM5_PAD3 \
SAM_PINMUX(a, 25, d, periph)
/* pa25e_tc1_wo1 */
#define PA25E_TC1_WO1 \
SAM_PINMUX(a, 25, e, periph)
/* pa25f_tcc1_wo3 */
#define PA25F_TCC1_WO3 \
SAM_PINMUX(a, 25, f, periph)
/* pa25g_usb_dp */
#define PA25G_USB_DP \
SAM_PINMUX(a, 25, g, periph)
/* pa27_gpio */
#define PA27_GPIO \
SAM_PINMUX(a, 27, gpio, gpio)
/* pa27a_eic_extint15 */
#define PA27A_EIC_EXTINT15 \
SAM_PINMUX(a, 27, a, periph)
/* pa27h_gclk_io0 */
#define PA27H_GCLK_IO0 \
SAM_PINMUX(a, 27, h, periph)
/* pa28_gpio */
#define PA28_GPIO \
SAM_PINMUX(a, 28, gpio, gpio)
/* pa28a_eic_extint8 */
#define PA28A_EIC_EXTINT8 \
SAM_PINMUX(a, 28, a, periph)
/* pa28h_gclk_io0 */
#define PA28H_GCLK_IO0 \
SAM_PINMUX(a, 28, h, periph)
/* pa30_gpio */
#define PA30_GPIO \
SAM_PINMUX(a, 30, gpio, gpio)
/* pa30a_eic_extint10 */
#define PA30A_EIC_EXTINT10 \
SAM_PINMUX(a, 30, a, periph)
/* pa30d_sercom1_pad2 */
#define PA30D_SERCOM1_PAD2 \
SAM_PINMUX(a, 30, d, periph)
/* pa30e_tcc1_wo0 */
#define PA30E_TCC1_WO0 \
SAM_PINMUX(a, 30, e, periph)
/* pa30h_gclk_io0 */
#define PA30H_GCLK_IO0 \
SAM_PINMUX(a, 30, h, periph)
/* pa31_gpio */
#define PA31_GPIO \
SAM_PINMUX(a, 31, gpio, gpio)
/* pa31a_eic_extint11 */
#define PA31A_EIC_EXTINT11 \
SAM_PINMUX(a, 31, a, periph)
/* pa31d_sercom1_pad3 */
#define PA31D_SERCOM1_PAD3 \
SAM_PINMUX(a, 31, d, periph)
/* pa31e_tcc1_wo1 */
#define PA31E_TCC1_WO1 \
SAM_PINMUX(a, 31, e, periph)
/* pb0_gpio */
#define PB0_GPIO \
SAM_PINMUX(b, 0, gpio, gpio)
/* pb0a_eic_extint0 */
#define PB0A_EIC_EXTINT0 \
SAM_PINMUX(b, 0, a, periph)
/* pb0b_adc_ain8 */
#define PB0B_ADC_AIN8 \
SAM_PINMUX(b, 0, b, periph)
/* pb0d_sercom5_pad2 */
#define PB0D_SERCOM5_PAD2 \
SAM_PINMUX(b, 0, d, periph)
/* pb0e_tcc3_wo0 */
#define PB0E_TCC3_WO0 \
SAM_PINMUX(b, 0, e, periph)
/* pb2_gpio */
#define PB2_GPIO \
SAM_PINMUX(b, 2, gpio, gpio)
/* pb2a_eic_extint2 */
#define PB2A_EIC_EXTINT2 \
SAM_PINMUX(b, 2, a, periph)
/* pb2b_adc_ain10 */
#define PB2B_ADC_AIN10 \
SAM_PINMUX(b, 2, b, periph)
/* pb2d_sercom5_pad0 */
#define PB2D_SERCOM5_PAD0 \
SAM_PINMUX(b, 2, d, periph)
/* pb2e_tc2_wo0 */
#define PB2E_TC2_WO0 \
SAM_PINMUX(b, 2, e, periph)
/* pb3_gpio */
#define PB3_GPIO \
SAM_PINMUX(b, 3, gpio, gpio)
/* pb3a_eic_extint3 */
#define PB3A_EIC_EXTINT3 \
SAM_PINMUX(b, 3, a, periph)
/* pb3b_adc_ain11 */
#define PB3B_ADC_AIN11 \
SAM_PINMUX(b, 3, b, periph)
/* pb3d_sercom5_pad1 */
#define PB3D_SERCOM5_PAD1 \
SAM_PINMUX(b, 3, d, periph)
/* pb3e_tc2_wo1 */
#define PB3E_TC2_WO1 \
SAM_PINMUX(b, 3, e, periph)
/* pb15_gpio */
#define PB15_GPIO \
SAM_PINMUX(b, 15, gpio, gpio)
/* pb15a_eic_extint15 */
#define PB15A_EIC_EXTINT15 \
SAM_PINMUX(b, 15, a, periph)
/* pb15b_ptc_x15 */
#define PB15B_PTC_X15 \
SAM_PINMUX(b, 15, b, periph)
/* pb15c_sercom4_pad3 */
#define PB15C_SERCOM4_PAD3 \
SAM_PINMUX(b, 15, c, periph)
/* pb15e_tcc0_wo1 */
#define PB15E_TCC0_WO1 \
SAM_PINMUX(b, 15, e, periph)
/* pb15h_gclk_io1 */
#define PB15H_GCLK_IO1 \
SAM_PINMUX(b, 15, h, periph)
/* pb16_gpio */
#define PB16_GPIO \
SAM_PINMUX(b, 16, gpio, gpio)
/* pb16a_eic_extint0 */
#define PB16A_EIC_EXTINT0 \
SAM_PINMUX(b, 16, a, periph)
/* pb16c_sercom5_pad0 */
#define PB16C_SERCOM5_PAD0 \
SAM_PINMUX(b, 16, c, periph)
/* pb16e_tcc2_wo0 */
#define PB16E_TCC2_WO0 \
SAM_PINMUX(b, 16, e, periph)
/* pb16f_tcc0_wo4 */
#define PB16F_TCC0_WO4 \
SAM_PINMUX(b, 16, f, periph)
/* pb16h_gclk_io2 */
#define PB16H_GCLK_IO2 \
SAM_PINMUX(b, 16, h, periph)
/* pb17_gpio */
#define PB17_GPIO \
SAM_PINMUX(b, 17, gpio, gpio)
/* pb17a_eic_extint1 */
#define PB17A_EIC_EXTINT1 \
SAM_PINMUX(b, 17, a, periph)
/* pb17c_sercom5_pad1 */
#define PB17C_SERCOM5_PAD1 \
SAM_PINMUX(b, 17, c, periph)
/* pb17e_tcc2_wo1 */
#define PB17E_TCC2_WO1 \
SAM_PINMUX(b, 17, e, periph)
/* pb17f_tcc0_wo5 */
#define PB17F_TCC0_WO5 \
SAM_PINMUX(b, 17, f, periph)
/* pb17h_gclk_io3 */
#define PB17H_GCLK_IO3 \
SAM_PINMUX(b, 17, h, periph)
/* pb22_gpio */
#define PB22_GPIO \
SAM_PINMUX(b, 22, gpio, gpio)
/* pb22a_eic_extint6 */
#define PB22A_EIC_EXTINT6 \
SAM_PINMUX(b, 22, a, periph)
/* pb22d_sercom5_pad2 */
#define PB22D_SERCOM5_PAD2 \
SAM_PINMUX(b, 22, d, periph)
/* pb22e_tc3_wo0 */
#define PB22E_TC3_WO0 \
SAM_PINMUX(b, 22, e, periph)
/* pb22h_gclk_io0 */
#define PB22H_GCLK_IO0 \
SAM_PINMUX(b, 22, h, periph)
/* pb23_gpio */
#define PB23_GPIO \
SAM_PINMUX(b, 23, gpio, gpio)
/* pb23a_eic_extint7 */
#define PB23A_EIC_EXTINT7 \
SAM_PINMUX(b, 23, a, periph)
/* pb23d_sercom5_pad3 */
#define PB23D_SERCOM5_PAD3 \
SAM_PINMUX(b, 23, d, periph)
/* pb23e_tc3_wo1 */
#define PB23E_TC3_WO1 \
SAM_PINMUX(b, 23, e, periph)
/* pb23h_gclk_io1 */
#define PB23H_GCLK_IO1 \
SAM_PINMUX(b, 23, h, periph)
/* pb30_gpio */
#define PB30_GPIO \
SAM_PINMUX(b, 30, gpio, gpio)
/* pb30a_eic_extint14 */
#define PB30A_EIC_EXTINT14 \
SAM_PINMUX(b, 30, a, periph)
/* pb30d_sercom5_pad0 */
#define PB30D_SERCOM5_PAD0 \
SAM_PINMUX(b, 30, d, periph)
/* pb30e_tcc0_wo0 */
#define PB30E_TCC0_WO0 \
SAM_PINMUX(b, 30, e, periph)
/* pb30f_sercom4_pad2 */
#define PB30F_SERCOM4_PAD2 \
SAM_PINMUX(b, 30, f, periph)
/* pb31_gpio */
#define PB31_GPIO \
SAM_PINMUX(b, 31, gpio, gpio)
/* pb31a_eic_extint15 */
#define PB31A_EIC_EXTINT15 \
SAM_PINMUX(b, 31, a, periph)
/* pb31d_sercom5_pad1 */
#define PB31D_SERCOM5_PAD1 \
SAM_PINMUX(b, 31, d, periph)
/* pb31e_tcc0_wo1 */
#define PB31E_TCC0_WO1 \
SAM_PINMUX(b, 31, e, periph)
/* pb31f_sercom4_pad1 */
#define PB31F_SERCOM4_PAD1 \
SAM_PINMUX(b, 31, f, periph)
/* pc18_gpio */
#define PC18_GPIO \
SAM_PINMUX(c, 18, gpio, gpio)
/* pc18f_sercom4_pad3 */
#define PC18F_SERCOM4_PAD3 \
SAM_PINMUX(c, 18, f, periph)
/* pc19_gpio */
#define PC19_GPIO \
SAM_PINMUX(c, 19, gpio, gpio)
/* pc19f_sercom4_pad0 */
#define PC19F_SERCOM4_PAD0 \
SAM_PINMUX(c, 19, f, periph)

View File

@ -0,0 +1,915 @@
/*
* Autogenerated file
*
* SPDX-License-Identifier: Apache-2.0
*/
#include <dt-bindings/pinctrl/atmel_sam_pinctrl.h>
/* pa0_gpio */
#define PA0_GPIO \
SAM_PINMUX(a, 0, gpio, gpio)
/* pa0a_eic_extint0 */
#define PA0A_EIC_EXTINT0 \
SAM_PINMUX(a, 0, a, periph)
/* pa0d_sercom1_pad0 */
#define PA0D_SERCOM1_PAD0 \
SAM_PINMUX(a, 0, d, periph)
/* pa0e_tcc2_wo0 */
#define PA0E_TCC2_WO0 \
SAM_PINMUX(a, 0, e, periph)
/* pa1_gpio */
#define PA1_GPIO \
SAM_PINMUX(a, 1, gpio, gpio)
/* pa1a_eic_extint1 */
#define PA1A_EIC_EXTINT1 \
SAM_PINMUX(a, 1, a, periph)
/* pa1d_sercom1_pad1 */
#define PA1D_SERCOM1_PAD1 \
SAM_PINMUX(a, 1, d, periph)
/* pa1e_tcc2_wo1 */
#define PA1E_TCC2_WO1 \
SAM_PINMUX(a, 1, e, periph)
/* pa4_gpio */
#define PA4_GPIO \
SAM_PINMUX(a, 4, gpio, gpio)
/* pa4a_eic_extint4 */
#define PA4A_EIC_EXTINT4 \
SAM_PINMUX(a, 4, a, periph)
/* pa4b_adc_ain4 */
#define PA4B_ADC_AIN4 \
SAM_PINMUX(a, 4, b, periph)
/* pa4d_sercom0_pad0 */
#define PA4D_SERCOM0_PAD0 \
SAM_PINMUX(a, 4, d, periph)
/* pa4e_tcc0_wo0 */
#define PA4E_TCC0_WO0 \
SAM_PINMUX(a, 4, e, periph)
/* pa5_gpio */
#define PA5_GPIO \
SAM_PINMUX(a, 5, gpio, gpio)
/* pa5a_eic_extint5 */
#define PA5A_EIC_EXTINT5 \
SAM_PINMUX(a, 5, a, periph)
/* pa5b_adc_ain5 */
#define PA5B_ADC_AIN5 \
SAM_PINMUX(a, 5, b, periph)
/* pa5d_sercom0_pad1 */
#define PA5D_SERCOM0_PAD1 \
SAM_PINMUX(a, 5, d, periph)
/* pa5e_tcc0_wo1 */
#define PA5E_TCC0_WO1 \
SAM_PINMUX(a, 5, e, periph)
/* pa6_gpio */
#define PA6_GPIO \
SAM_PINMUX(a, 6, gpio, gpio)
/* pa6a_eic_extint6 */
#define PA6A_EIC_EXTINT6 \
SAM_PINMUX(a, 6, a, periph)
/* pa6b_adc_ain6 */
#define PA6B_ADC_AIN6 \
SAM_PINMUX(a, 6, b, periph)
/* pa6b_ptc_y4 */
#define PA6B_PTC_Y4 \
SAM_PINMUX(a, 6, b, periph)
/* pa6d_sercom0_pad2 */
#define PA6D_SERCOM0_PAD2 \
SAM_PINMUX(a, 6, d, periph)
/* pa6e_tcc1_wo0 */
#define PA6E_TCC1_WO0 \
SAM_PINMUX(a, 6, e, periph)
/* pa7_gpio */
#define PA7_GPIO \
SAM_PINMUX(a, 7, gpio, gpio)
/* pa7a_eic_extint7 */
#define PA7A_EIC_EXTINT7 \
SAM_PINMUX(a, 7, a, periph)
/* pa7b_adc_ain7 */
#define PA7B_ADC_AIN7 \
SAM_PINMUX(a, 7, b, periph)
/* pa7d_sercom0_pad3 */
#define PA7D_SERCOM0_PAD3 \
SAM_PINMUX(a, 7, d, periph)
/* pa7e_tcc1_wo1 */
#define PA7E_TCC1_WO1 \
SAM_PINMUX(a, 7, e, periph)
/* pa8_gpio */
#define PA8_GPIO \
SAM_PINMUX(a, 8, gpio, gpio)
/* pa8a_eic_nmi */
#define PA8A_EIC_NMI \
SAM_PINMUX(a, 8, a, periph)
/* pa8b_adc_ain16 */
#define PA8B_ADC_AIN16 \
SAM_PINMUX(a, 8, b, periph)
/* pa8b_ptc_x0 */
#define PA8B_PTC_X0 \
SAM_PINMUX(a, 8, b, periph)
/* pa8b_ptc_y6 */
#define PA8B_PTC_Y6 \
SAM_PINMUX(a, 8, b, periph)
/* pa8c_sercom0_pad0 */
#define PA8C_SERCOM0_PAD0 \
SAM_PINMUX(a, 8, c, periph)
/* pa8d_sercom2_pad0 */
#define PA8D_SERCOM2_PAD0 \
SAM_PINMUX(a, 8, d, periph)
/* pa8e_tcc0_wo0 */
#define PA8E_TCC0_WO0 \
SAM_PINMUX(a, 8, e, periph)
/* pa8f_tcc1_wo2 */
#define PA8F_TCC1_WO2 \
SAM_PINMUX(a, 8, f, periph)
/* pa9_gpio */
#define PA9_GPIO \
SAM_PINMUX(a, 9, gpio, gpio)
/* pa9a_eic_extint9 */
#define PA9A_EIC_EXTINT9 \
SAM_PINMUX(a, 9, a, periph)
/* pa9b_adc_ain17 */
#define PA9B_ADC_AIN17 \
SAM_PINMUX(a, 9, b, periph)
/* pa9b_ptc_x1 */
#define PA9B_PTC_X1 \
SAM_PINMUX(a, 9, b, periph)
/* pa9b_ptc_y7 */
#define PA9B_PTC_Y7 \
SAM_PINMUX(a, 9, b, periph)
/* pa9c_sercom0_pad1 */
#define PA9C_SERCOM0_PAD1 \
SAM_PINMUX(a, 9, c, periph)
/* pa9d_sercom2_pad1 */
#define PA9D_SERCOM2_PAD1 \
SAM_PINMUX(a, 9, d, periph)
/* pa9e_tcc0_wo1 */
#define PA9E_TCC0_WO1 \
SAM_PINMUX(a, 9, e, periph)
/* pa9f_tcc1_wo3 */
#define PA9F_TCC1_WO3 \
SAM_PINMUX(a, 9, f, periph)
/* pa10_gpio */
#define PA10_GPIO \
SAM_PINMUX(a, 10, gpio, gpio)
/* pa10a_eic_extint10 */
#define PA10A_EIC_EXTINT10 \
SAM_PINMUX(a, 10, a, periph)
/* pa10b_adc_ain18 */
#define PA10B_ADC_AIN18 \
SAM_PINMUX(a, 10, b, periph)
/* pa10b_ptc_x2 */
#define PA10B_PTC_X2 \
SAM_PINMUX(a, 10, b, periph)
/* pa10b_ptc_y8 */
#define PA10B_PTC_Y8 \
SAM_PINMUX(a, 10, b, periph)
/* pa10c_sercom0_pad2 */
#define PA10C_SERCOM0_PAD2 \
SAM_PINMUX(a, 10, c, periph)
/* pa10d_sercom2_pad2 */
#define PA10D_SERCOM2_PAD2 \
SAM_PINMUX(a, 10, d, periph)
/* pa10e_tcc1_wo0 */
#define PA10E_TCC1_WO0 \
SAM_PINMUX(a, 10, e, periph)
/* pa10f_tcc0_wo2 */
#define PA10F_TCC0_WO2 \
SAM_PINMUX(a, 10, f, periph)
/* pa10h_gclk_io4 */
#define PA10H_GCLK_IO4 \
SAM_PINMUX(a, 10, h, periph)
/* pa11_gpio */
#define PA11_GPIO \
SAM_PINMUX(a, 11, gpio, gpio)
/* pa11a_eic_extint11 */
#define PA11A_EIC_EXTINT11 \
SAM_PINMUX(a, 11, a, periph)
/* pa11b_adc_ain19 */
#define PA11B_ADC_AIN19 \
SAM_PINMUX(a, 11, b, periph)
/* pa11b_ptc_x3 */
#define PA11B_PTC_X3 \
SAM_PINMUX(a, 11, b, periph)
/* pa11b_ptc_y9 */
#define PA11B_PTC_Y9 \
SAM_PINMUX(a, 11, b, periph)
/* pa11c_sercom0_pad3 */
#define PA11C_SERCOM0_PAD3 \
SAM_PINMUX(a, 11, c, periph)
/* pa11d_sercom2_pad3 */
#define PA11D_SERCOM2_PAD3 \
SAM_PINMUX(a, 11, d, periph)
/* pa11e_tcc1_wo1 */
#define PA11E_TCC1_WO1 \
SAM_PINMUX(a, 11, e, periph)
/* pa11f_tcc0_wo3 */
#define PA11F_TCC0_WO3 \
SAM_PINMUX(a, 11, f, periph)
/* pa11h_gclk_io5 */
#define PA11H_GCLK_IO5 \
SAM_PINMUX(a, 11, h, periph)
/* pa12_gpio */
#define PA12_GPIO \
SAM_PINMUX(a, 12, gpio, gpio)
/* pa12a_eic_extint12 */
#define PA12A_EIC_EXTINT12 \
SAM_PINMUX(a, 12, a, periph)
/* pa12c_sercom2_pad0 */
#define PA12C_SERCOM2_PAD0 \
SAM_PINMUX(a, 12, c, periph)
/* pa12d_sercom4_pad0 */
#define PA12D_SERCOM4_PAD0 \
SAM_PINMUX(a, 12, d, periph)
/* pa12e_tcc2_wo0 */
#define PA12E_TCC2_WO0 \
SAM_PINMUX(a, 12, e, periph)
/* pa12f_tcc0_wo6 */
#define PA12F_TCC0_WO6 \
SAM_PINMUX(a, 12, f, periph)
/* pa12h_ac_cmp0 */
#define PA12H_AC_CMP0 \
SAM_PINMUX(a, 12, h, periph)
/* pa13_gpio */
#define PA13_GPIO \
SAM_PINMUX(a, 13, gpio, gpio)
/* pa13a_eic_extint13 */
#define PA13A_EIC_EXTINT13 \
SAM_PINMUX(a, 13, a, periph)
/* pa13c_sercom2_pad1 */
#define PA13C_SERCOM2_PAD1 \
SAM_PINMUX(a, 13, c, periph)
/* pa13d_sercom4_pad1 */
#define PA13D_SERCOM4_PAD1 \
SAM_PINMUX(a, 13, d, periph)
/* pa13e_tcc2_wo1 */
#define PA13E_TCC2_WO1 \
SAM_PINMUX(a, 13, e, periph)
/* pa13f_tcc0_wo7 */
#define PA13F_TCC0_WO7 \
SAM_PINMUX(a, 13, f, periph)
/* pa13h_ac_cmp1 */
#define PA13H_AC_CMP1 \
SAM_PINMUX(a, 13, h, periph)
/* pa14_gpio */
#define PA14_GPIO \
SAM_PINMUX(a, 14, gpio, gpio)
/* pa14a_eic_extint14 */
#define PA14A_EIC_EXTINT14 \
SAM_PINMUX(a, 14, a, periph)
/* pa14c_sercom2_pad2 */
#define PA14C_SERCOM2_PAD2 \
SAM_PINMUX(a, 14, c, periph)
/* pa14d_sercom4_pad2 */
#define PA14D_SERCOM4_PAD2 \
SAM_PINMUX(a, 14, d, periph)
/* pa14e_tc4_wo0 */
#define PA14E_TC4_WO0 \
SAM_PINMUX(a, 14, e, periph)
/* pa14f_tcc0_wo4 */
#define PA14F_TCC0_WO4 \
SAM_PINMUX(a, 14, f, periph)
/* pa14h_gclk_io0 */
#define PA14H_GCLK_IO0 \
SAM_PINMUX(a, 14, h, periph)
/* pa15_gpio */
#define PA15_GPIO \
SAM_PINMUX(a, 15, gpio, gpio)
/* pa15a_eic_extint15 */
#define PA15A_EIC_EXTINT15 \
SAM_PINMUX(a, 15, a, periph)
/* pa15c_sercom2_pad3 */
#define PA15C_SERCOM2_PAD3 \
SAM_PINMUX(a, 15, c, periph)
/* pa15d_sercom4_pad3 */
#define PA15D_SERCOM4_PAD3 \
SAM_PINMUX(a, 15, d, periph)
/* pa15e_tc4_wo1 */
#define PA15E_TC4_WO1 \
SAM_PINMUX(a, 15, e, periph)
/* pa15f_tcc0_wo5 */
#define PA15F_TCC0_WO5 \
SAM_PINMUX(a, 15, f, periph)
/* pa15h_gclk_io1 */
#define PA15H_GCLK_IO1 \
SAM_PINMUX(a, 15, h, periph)
/* pa16_gpio */
#define PA16_GPIO \
SAM_PINMUX(a, 16, gpio, gpio)
/* pa16a_eic_extint0 */
#define PA16A_EIC_EXTINT0 \
SAM_PINMUX(a, 16, a, periph)
/* pa16b_ptc_x4 */
#define PA16B_PTC_X4 \
SAM_PINMUX(a, 16, b, periph)
/* pa16c_sercom1_pad0 */
#define PA16C_SERCOM1_PAD0 \
SAM_PINMUX(a, 16, c, periph)
/* pa16d_sercom3_pad0 */
#define PA16D_SERCOM3_PAD0 \
SAM_PINMUX(a, 16, d, periph)
/* pa16e_tcc2_wo0 */
#define PA16E_TCC2_WO0 \
SAM_PINMUX(a, 16, e, periph)
/* pa16f_tcc0_wo6 */
#define PA16F_TCC0_WO6 \
SAM_PINMUX(a, 16, f, periph)
/* pa16h_gclk_io2 */
#define PA16H_GCLK_IO2 \
SAM_PINMUX(a, 16, h, periph)
/* pa17_gpio */
#define PA17_GPIO \
SAM_PINMUX(a, 17, gpio, gpio)
/* pa17a_eic_extint1 */
#define PA17A_EIC_EXTINT1 \
SAM_PINMUX(a, 17, a, periph)
/* pa17b_ptc_x5 */
#define PA17B_PTC_X5 \
SAM_PINMUX(a, 17, b, periph)
/* pa17c_sercom1_pad1 */
#define PA17C_SERCOM1_PAD1 \
SAM_PINMUX(a, 17, c, periph)
/* pa17d_sercom3_pad1 */
#define PA17D_SERCOM3_PAD1 \
SAM_PINMUX(a, 17, d, periph)
/* pa17e_tcc2_wo1 */
#define PA17E_TCC2_WO1 \
SAM_PINMUX(a, 17, e, periph)
/* pa17f_tcc0_wo1 */
#define PA17F_TCC0_WO1 \
SAM_PINMUX(a, 17, f, periph)
/* pa17h_gclk_io3 */
#define PA17H_GCLK_IO3 \
SAM_PINMUX(a, 17, h, periph)
/* pa18_gpio */
#define PA18_GPIO \
SAM_PINMUX(a, 18, gpio, gpio)
/* pa18a_eic_extint2 */
#define PA18A_EIC_EXTINT2 \
SAM_PINMUX(a, 18, a, periph)
/* pa18b_ptc_x6 */
#define PA18B_PTC_X6 \
SAM_PINMUX(a, 18, b, periph)
/* pa18c_sercom1_pad2 */
#define PA18C_SERCOM1_PAD2 \
SAM_PINMUX(a, 18, c, periph)
/* pa18d_sercom3_pad2 */
#define PA18D_SERCOM3_PAD2 \
SAM_PINMUX(a, 18, d, periph)
/* pa18e_tc4_wo0 */
#define PA18E_TC4_WO0 \
SAM_PINMUX(a, 18, e, periph)
/* pa18f_tcc0_wo2 */
#define PA18F_TCC0_WO2 \
SAM_PINMUX(a, 18, f, periph)
/* pa18h_ac_cmp0 */
#define PA18H_AC_CMP0 \
SAM_PINMUX(a, 18, h, periph)
/* pa19_gpio */
#define PA19_GPIO \
SAM_PINMUX(a, 19, gpio, gpio)
/* pa19a_eic_extint3 */
#define PA19A_EIC_EXTINT3 \
SAM_PINMUX(a, 19, a, periph)
/* pa19b_ptc_x7 */
#define PA19B_PTC_X7 \
SAM_PINMUX(a, 19, b, periph)
/* pa19c_sercom1_pad3 */
#define PA19C_SERCOM1_PAD3 \
SAM_PINMUX(a, 19, c, periph)
/* pa19d_sercom3_pad3 */
#define PA19D_SERCOM3_PAD3 \
SAM_PINMUX(a, 19, d, periph)
/* pa19e_tc4_wo1 */
#define PA19E_TC4_WO1 \
SAM_PINMUX(a, 19, e, periph)
/* pa19f_tcc0_wo3 */
#define PA19F_TCC0_WO3 \
SAM_PINMUX(a, 19, f, periph)
/* pa19h_ac_cmp1 */
#define PA19H_AC_CMP1 \
SAM_PINMUX(a, 19, h, periph)
/* pa22_gpio */
#define PA22_GPIO \
SAM_PINMUX(a, 22, gpio, gpio)
/* pa22a_eic_extint6 */
#define PA22A_EIC_EXTINT6 \
SAM_PINMUX(a, 22, a, periph)
/* pa22b_ptc_x10 */
#define PA22B_PTC_X10 \
SAM_PINMUX(a, 22, b, periph)
/* pa22c_sercom3_pad0 */
#define PA22C_SERCOM3_PAD0 \
SAM_PINMUX(a, 22, c, periph)
/* pa22d_sercom5_pad0 */
#define PA22D_SERCOM5_PAD0 \
SAM_PINMUX(a, 22, d, periph)
/* pa22e_tc0_wo0 */
#define PA22E_TC0_WO0 \
SAM_PINMUX(a, 22, e, periph)
/* pa22f_tcc0_wo4 */
#define PA22F_TCC0_WO4 \
SAM_PINMUX(a, 22, f, periph)
/* pa22h_gclk_io6 */
#define PA22H_GCLK_IO6 \
SAM_PINMUX(a, 22, h, periph)
/* pa23_gpio */
#define PA23_GPIO \
SAM_PINMUX(a, 23, gpio, gpio)
/* pa23a_eic_extint7 */
#define PA23A_EIC_EXTINT7 \
SAM_PINMUX(a, 23, a, periph)
/* pa23b_ptc_x11 */
#define PA23B_PTC_X11 \
SAM_PINMUX(a, 23, b, periph)
/* pa23c_sercom3_pad1 */
#define PA23C_SERCOM3_PAD1 \
SAM_PINMUX(a, 23, c, periph)
/* pa23d_sercom5_pad1 */
#define PA23D_SERCOM5_PAD1 \
SAM_PINMUX(a, 23, d, periph)
/* pa23e_tc0_wo1 */
#define PA23E_TC0_WO1 \
SAM_PINMUX(a, 23, e, periph)
/* pa23f_tcc0_wo5 */
#define PA23F_TCC0_WO5 \
SAM_PINMUX(a, 23, f, periph)
/* pa23h_gclk_io7 */
#define PA23H_GCLK_IO7 \
SAM_PINMUX(a, 23, h, periph)
/* pa24_gpio */
#define PA24_GPIO \
SAM_PINMUX(a, 24, gpio, gpio)
/* pa24a_eic_extint12 */
#define PA24A_EIC_EXTINT12 \
SAM_PINMUX(a, 24, a, periph)
/* pa24c_sercom3_pad2 */
#define PA24C_SERCOM3_PAD2 \
SAM_PINMUX(a, 24, c, periph)
/* pa24d_sercom5_pad2 */
#define PA24D_SERCOM5_PAD2 \
SAM_PINMUX(a, 24, d, periph)
/* pa24e_tc1_wo0 */
#define PA24E_TC1_WO0 \
SAM_PINMUX(a, 24, e, periph)
/* pa24f_tcc1_wo2 */
#define PA24F_TCC1_WO2 \
SAM_PINMUX(a, 24, f, periph)
/* pa25_gpio */
#define PA25_GPIO \
SAM_PINMUX(a, 25, gpio, gpio)
/* pa25a_eic_extint13 */
#define PA25A_EIC_EXTINT13 \
SAM_PINMUX(a, 25, a, periph)
/* pa25c_sercom3_pad3 */
#define PA25C_SERCOM3_PAD3 \
SAM_PINMUX(a, 25, c, periph)
/* pa25d_sercom5_pad3 */
#define PA25D_SERCOM5_PAD3 \
SAM_PINMUX(a, 25, d, periph)
/* pa25e_tc1_wo1 */
#define PA25E_TC1_WO1 \
SAM_PINMUX(a, 25, e, periph)
/* pa25f_tcc1_wo3 */
#define PA25F_TCC1_WO3 \
SAM_PINMUX(a, 25, f, periph)
/* pa27_gpio */
#define PA27_GPIO \
SAM_PINMUX(a, 27, gpio, gpio)
/* pa27a_eic_extint15 */
#define PA27A_EIC_EXTINT15 \
SAM_PINMUX(a, 27, a, periph)
/* pa27h_gclk_io0 */
#define PA27H_GCLK_IO0 \
SAM_PINMUX(a, 27, h, periph)
/* pa28_gpio */
#define PA28_GPIO \
SAM_PINMUX(a, 28, gpio, gpio)
/* pa28a_eic_extint8 */
#define PA28A_EIC_EXTINT8 \
SAM_PINMUX(a, 28, a, periph)
/* pa28h_gclk_io0 */
#define PA28H_GCLK_IO0 \
SAM_PINMUX(a, 28, h, periph)
/* pa30_gpio */
#define PA30_GPIO \
SAM_PINMUX(a, 30, gpio, gpio)
/* pa30a_eic_extint10 */
#define PA30A_EIC_EXTINT10 \
SAM_PINMUX(a, 30, a, periph)
/* pa30d_sercom1_pad2 */
#define PA30D_SERCOM1_PAD2 \
SAM_PINMUX(a, 30, d, periph)
/* pa30e_tcc1_wo0 */
#define PA30E_TCC1_WO0 \
SAM_PINMUX(a, 30, e, periph)
/* pa30h_gclk_io0 */
#define PA30H_GCLK_IO0 \
SAM_PINMUX(a, 30, h, periph)
/* pa31_gpio */
#define PA31_GPIO \
SAM_PINMUX(a, 31, gpio, gpio)
/* pa31a_eic_extint11 */
#define PA31A_EIC_EXTINT11 \
SAM_PINMUX(a, 31, a, periph)
/* pa31d_sercom1_pad3 */
#define PA31D_SERCOM1_PAD3 \
SAM_PINMUX(a, 31, d, periph)
/* pa31e_tcc1_wo1 */
#define PA31E_TCC1_WO1 \
SAM_PINMUX(a, 31, e, periph)
/* pb0_gpio */
#define PB0_GPIO \
SAM_PINMUX(b, 0, gpio, gpio)
/* pb0a_eic_extint0 */
#define PB0A_EIC_EXTINT0 \
SAM_PINMUX(b, 0, a, periph)
/* pb0b_adc_ain8 */
#define PB0B_ADC_AIN8 \
SAM_PINMUX(b, 0, b, periph)
/* pb0d_sercom5_pad2 */
#define PB0D_SERCOM5_PAD2 \
SAM_PINMUX(b, 0, d, periph)
/* pb0e_tcc3_wo0 */
#define PB0E_TCC3_WO0 \
SAM_PINMUX(b, 0, e, periph)
/* pb2_gpio */
#define PB2_GPIO \
SAM_PINMUX(b, 2, gpio, gpio)
/* pb2a_eic_extint2 */
#define PB2A_EIC_EXTINT2 \
SAM_PINMUX(b, 2, a, periph)
/* pb2b_adc_ain10 */
#define PB2B_ADC_AIN10 \
SAM_PINMUX(b, 2, b, periph)
/* pb2d_sercom5_pad0 */
#define PB2D_SERCOM5_PAD0 \
SAM_PINMUX(b, 2, d, periph)
/* pb2e_tc2_wo0 */
#define PB2E_TC2_WO0 \
SAM_PINMUX(b, 2, e, periph)
/* pb3_gpio */
#define PB3_GPIO \
SAM_PINMUX(b, 3, gpio, gpio)
/* pb3a_eic_extint3 */
#define PB3A_EIC_EXTINT3 \
SAM_PINMUX(b, 3, a, periph)
/* pb3b_adc_ain11 */
#define PB3B_ADC_AIN11 \
SAM_PINMUX(b, 3, b, periph)
/* pb3d_sercom5_pad1 */
#define PB3D_SERCOM5_PAD1 \
SAM_PINMUX(b, 3, d, periph)
/* pb3e_tc2_wo1 */
#define PB3E_TC2_WO1 \
SAM_PINMUX(b, 3, e, periph)
/* pb15_gpio */
#define PB15_GPIO \
SAM_PINMUX(b, 15, gpio, gpio)
/* pb15a_eic_extint15 */
#define PB15A_EIC_EXTINT15 \
SAM_PINMUX(b, 15, a, periph)
/* pb15b_ptc_x15 */
#define PB15B_PTC_X15 \
SAM_PINMUX(b, 15, b, periph)
/* pb15c_sercom4_pad3 */
#define PB15C_SERCOM4_PAD3 \
SAM_PINMUX(b, 15, c, periph)
/* pb15e_tcc0_wo1 */
#define PB15E_TCC0_WO1 \
SAM_PINMUX(b, 15, e, periph)
/* pb15h_gclk_io1 */
#define PB15H_GCLK_IO1 \
SAM_PINMUX(b, 15, h, periph)
/* pb16_gpio */
#define PB16_GPIO \
SAM_PINMUX(b, 16, gpio, gpio)
/* pb16a_eic_extint0 */
#define PB16A_EIC_EXTINT0 \
SAM_PINMUX(b, 16, a, periph)
/* pb16c_sercom5_pad0 */
#define PB16C_SERCOM5_PAD0 \
SAM_PINMUX(b, 16, c, periph)
/* pb16e_tcc2_wo0 */
#define PB16E_TCC2_WO0 \
SAM_PINMUX(b, 16, e, periph)
/* pb16f_tcc0_wo4 */
#define PB16F_TCC0_WO4 \
SAM_PINMUX(b, 16, f, periph)
/* pb16h_gclk_io2 */
#define PB16H_GCLK_IO2 \
SAM_PINMUX(b, 16, h, periph)
/* pb17_gpio */
#define PB17_GPIO \
SAM_PINMUX(b, 17, gpio, gpio)
/* pb17a_eic_extint1 */
#define PB17A_EIC_EXTINT1 \
SAM_PINMUX(b, 17, a, periph)
/* pb17c_sercom5_pad1 */
#define PB17C_SERCOM5_PAD1 \
SAM_PINMUX(b, 17, c, periph)
/* pb17e_tcc2_wo1 */
#define PB17E_TCC2_WO1 \
SAM_PINMUX(b, 17, e, periph)
/* pb17f_tcc0_wo5 */
#define PB17F_TCC0_WO5 \
SAM_PINMUX(b, 17, f, periph)
/* pb17h_gclk_io3 */
#define PB17H_GCLK_IO3 \
SAM_PINMUX(b, 17, h, periph)
/* pb22_gpio */
#define PB22_GPIO \
SAM_PINMUX(b, 22, gpio, gpio)
/* pb22a_eic_extint6 */
#define PB22A_EIC_EXTINT6 \
SAM_PINMUX(b, 22, a, periph)
/* pb22d_sercom5_pad2 */
#define PB22D_SERCOM5_PAD2 \
SAM_PINMUX(b, 22, d, periph)
/* pb22e_tc3_wo0 */
#define PB22E_TC3_WO0 \
SAM_PINMUX(b, 22, e, periph)
/* pb22h_gclk_io0 */
#define PB22H_GCLK_IO0 \
SAM_PINMUX(b, 22, h, periph)
/* pb23_gpio */
#define PB23_GPIO \
SAM_PINMUX(b, 23, gpio, gpio)
/* pb23a_eic_extint7 */
#define PB23A_EIC_EXTINT7 \
SAM_PINMUX(b, 23, a, periph)
/* pb23d_sercom5_pad3 */
#define PB23D_SERCOM5_PAD3 \
SAM_PINMUX(b, 23, d, periph)
/* pb23e_tc3_wo1 */
#define PB23E_TC3_WO1 \
SAM_PINMUX(b, 23, e, periph)
/* pb23h_gclk_io1 */
#define PB23H_GCLK_IO1 \
SAM_PINMUX(b, 23, h, periph)
/* pb30_gpio */
#define PB30_GPIO \
SAM_PINMUX(b, 30, gpio, gpio)
/* pb30a_eic_extint14 */
#define PB30A_EIC_EXTINT14 \
SAM_PINMUX(b, 30, a, periph)
/* pb30d_sercom5_pad0 */
#define PB30D_SERCOM5_PAD0 \
SAM_PINMUX(b, 30, d, periph)
/* pb30e_tcc0_wo0 */
#define PB30E_TCC0_WO0 \
SAM_PINMUX(b, 30, e, periph)
/* pb30f_sercom4_pad2 */
#define PB30F_SERCOM4_PAD2 \
SAM_PINMUX(b, 30, f, periph)
/* pb31_gpio */
#define PB31_GPIO \
SAM_PINMUX(b, 31, gpio, gpio)
/* pb31a_eic_extint15 */
#define PB31A_EIC_EXTINT15 \
SAM_PINMUX(b, 31, a, periph)
/* pb31d_sercom5_pad1 */
#define PB31D_SERCOM5_PAD1 \
SAM_PINMUX(b, 31, d, periph)
/* pb31e_tcc0_wo1 */
#define PB31E_TCC0_WO1 \
SAM_PINMUX(b, 31, e, periph)
/* pb31f_sercom4_pad1 */
#define PB31F_SERCOM4_PAD1 \
SAM_PINMUX(b, 31, f, periph)
/* pc18_gpio */
#define PC18_GPIO \
SAM_PINMUX(c, 18, gpio, gpio)
/* pc18f_sercom4_pad3 */
#define PC18F_SERCOM4_PAD3 \
SAM_PINMUX(c, 18, f, periph)
/* pc19_gpio */
#define PC19_GPIO \
SAM_PINMUX(c, 19, gpio, gpio)
/* pc19f_sercom4_pad0 */
#define PC19F_SERCOM4_PAD0 \
SAM_PINMUX(c, 19, f, periph)

336
pinconfigs/sam-r34-r35.yml Normal file
View File

@ -0,0 +1,336 @@
# Copyright (c) 2022 Argentum Systems Ltd.
# SPDX-License-Identifier: Apache-2.0
#
# Sources:
# - SAM-R34-R35-Low-Power-LoRa-Sub-GHz-SiP-Data-Sheet-DS70005356C.pdf (Revision C - 05/2019)
#
# Pin codes:
#
# - 64 pins: j
#
# SoC Revision (variant) supported:
#
# - SAMR34/SAMR35
# - B = Release to Production
model: atmel,sam
family: r34-r35
map: SAM_PINMUX
series: [r34, r35]
variants:
- pincode: j
series: [r34, r35]
pins:
pa00:
pincodes: [j]
periph:
- [a, eic, extint0]
- [d, sercom1, pad0]
- [e, tcc2, wo0]
pa01:
pincodes: [j]
periph:
- [a, eic, extint1]
- [d, sercom1, pad1]
- [e, tcc2, wo1]
pa04:
pincodes: [j]
periph:
- [a, eic, extint4]
- [b, adc, ain4]
- [d, sercom0, pad0]
- [e, tcc0, wo0]
pa05:
pincodes: [j]
periph:
- [a, eic, extint5]
- [b, adc, ain5]
- [d, sercom0, pad1]
- [e, tcc0, wo1]
pa06:
pincodes: [j]
periph:
- [a, eic, extint6]
- [b, adc, ain6]
- [b, ptc, y4]
- [d, sercom0, pad2]
- [e, tcc1, wo0]
pa07:
pincodes: [j]
periph:
- [a, eic, extint7]
- [b, adc, ain7]
- [d, sercom0, pad3]
- [e, tcc1, wo1]
pa08:
pincodes: [j]
periph:
- [a, eic, nmi]
- [b, adc, ain16]
- [b, ptc, x0]
- [b, ptc, y6]
- [c, sercom0, pad0]
- [d, sercom2, pad0]
- [e, tcc0, wo0]
- [f, tcc1, wo2]
pa09:
pincodes: [j]
periph:
- [a, eic, extint9]
- [b, adc, ain17]
- [b, ptc, x1]
- [b, ptc, y7]
- [c, sercom0, pad1]
- [d, sercom2, pad1]
- [e, tcc0, wo1]
- [f, tcc1, wo3]
pa13:
pincodes: [j]
periph:
- [a, eic, extint13]
- [c, sercom2, pad1]
- [d, sercom4, pad1]
- [e, tcc2, wo1]
- [f, tcc0, wo7]
- [h, ac, cmp1]
pa14:
pincodes: [j]
periph:
- [a, eic, extint14]
- [c, sercom2, pad2]
- [d, sercom4, pad2]
- [e, tc4, wo0]
- [f, tcc0, wo4]
- [h, gclk, io0]
pa15:
pincodes: [j]
periph:
- [a, eic, extint15]
- [c, sercom2, pad3]
- [d, sercom4, pad3]
- [e, tc4, wo1]
- [f, tcc0, wo5]
- [h, gclk, io1]
pa16:
pincodes: [j]
periph:
- [a, eic, extint0]
- [b, ptc, x4]
- [c, sercom1, pad0]
- [d, sercom3, pad0]
- [e, tcc2, wo0]
- [f, tcc0, wo6]
- [h, gclk, io2]
pa17:
pincodes: [j]
periph:
- [a, eic, extint1]
- [b, ptc, x5]
- [c, sercom1, pad1]
- [d, sercom3, pad1]
- [e, tcc2, wo1]
- [f, tcc0, wo1]
- [h, gclk, io3]
pa18:
pincodes: [j]
periph:
- [a, eic, extint2]
- [b, ptc, x6]
- [c, sercom1, pad2]
- [d, sercom3, pad2]
- [e, tc4, wo0]
- [f, tcc0, wo2]
- [h, ac, cmp0]
pa19:
pincodes: [j]
periph:
- [a, eic, extint3]
- [b, ptc, x7]
- [c, sercom1, pad3]
- [d, sercom3, pad3]
- [e, tc4, wo1]
- [f, tcc0, wo3]
- [h, ac, cmp1]
pa22:
pincodes: [j]
periph:
- [a, eic, extint6]
- [b, ptc, x10]
- [c, sercom3, pad0]
- [d, sercom5, pad0]
- [e, tc0, wo0]
- [f, tcc0, wo4]
- [h, gclk, io6]
pa23:
pincodes: [j]
periph:
- [a, eic, extint7]
- [b, ptc, x11]
- [c, sercom3, pad1]
- [d, sercom5, pad1]
- [e, tc0, wo1]
- [f, tcc0, wo5]
- [g, usb, sof, [r35]]
- [h, gclk, io7]
pa24:
pincodes: [j]
periph:
- [a, eic, extint12]
- [c, sercom3, pad2]
- [d, sercom5, pad2]
- [e, tc1, wo0]
- [f, tcc1, wo2]
- [g, usb, dm, [r35]]
pa25:
pincodes: [j]
periph:
- [a, eic, extint13]
- [c, sercom3, pad3]
- [d, sercom5, pad3]
- [e, tc1, wo1]
- [f, tcc1, wo3]
- [g, usb, dp, [r35]]
pb22:
pincodes: [j]
periph:
- [a, eic, extint6]
- [d, sercom5, pad2]
- [e, tc3, wo0]
- [h, gclk, io0]
pb23:
pincodes: [j]
periph:
- [a, eic, extint7]
- [d, sercom5, pad3]
- [e, tc3, wo1]
- [h, gclk, io1]
pa27:
pincodes: [j]
periph:
- [a, eic, extint15]
- [h, gclk, io0]
pa28:
pincodes: [j]
periph:
- [a, eic, extint8]
- [h, gclk, io0]
pa30:
pincodes: [j]
periph:
- [a, eic, extint10]
- [d, sercom1, pad2]
- [e, tcc1, wo0]
- [h, gclk, io0]
pa31:
pincodes: [j]
periph:
- [a, eic, extint11]
- [d, sercom1, pad3]
- [e, tcc1, wo1]
pb02:
pincodes: [j]
periph:
- [a, eic, extint2]
- [b, adc, ain10]
- [d, sercom5, pad0]
- [e, tc2, wo0]
pb03:
pincodes: [j]
periph:
- [a, eic, extint3]
- [b, adc, ain11]
- [d, sercom5, pad1]
- [e, tc2, wo1]
# internal signals
pb16:
pincodes: [j]
periph:
- [a, eic, extint0]
- [c, sercom5, pad0]
- [e, tcc2, wo0]
- [f, tcc0, wo4]
- [h, gclk, io2]
pa11:
pincodes: [j]
periph:
- [a, eic, extint11]
- [b, adc, ain19]
- [b, ptc, x3]
- [b, ptc, y9]
- [c, sercom0, pad3]
- [d, sercom2, pad3]
- [e, tcc1, wo1]
- [f, tcc0, wo3]
- [h, gclk, io5]
pa12:
pincodes: [j]
periph:
- [a, eic, extint12]
- [c, sercom2, pad0]
- [d, sercom4, pad0]
- [e, tcc2, wo0]
- [f, tcc0, wo6]
- [h, ac, cmp0]
pb17:
pincodes: [j]
periph:
- [a, eic, extint1]
- [c, sercom5, pad1]
- [e, tcc2, wo1]
- [f, tcc0, wo5]
- [h, gclk, io3]
pa10:
pincodes: [j]
periph:
- [a, eic, extint10]
- [b, adc, ain18]
- [b, ptc, x2]
- [b, ptc, y8]
- [c, sercom0, pad2]
- [d, sercom2, pad2]
- [e, tcc1, wo0]
- [f, tcc0, wo2]
- [h, gclk, io4]
pb00:
pincodes: [j]
periph:
- [a, eic, extint0]
- [b, adc, ain8]
- [d, sercom5, pad2]
- [e, tcc3, wo0]
pb15:
pincodes: [j]
periph:
- [a, eic, extint15]
- [b, ptc, x15]
- [c, sercom4, pad3]
- [e, tcc0, wo1]
- [h, gclk, io1]
pb30:
pincodes: [j]
periph:
- [a, eic, extint14]
- [d, sercom5, pad0]
- [e, tcc0, wo0]
- [f, sercom4, pad2]
pb31:
pincodes: [j]
periph:
- [a, eic, extint15]
- [d, sercom5, pad1]
- [e, tcc0, wo1]
- [f, sercom4, pad1]
pc18:
pincodes: [j]
periph:
- [f, sercom4, pad3]
pc19:
pincodes: [j]
periph:
- [f, sercom4, pad0]